微导纳米研究报告:立足ALD技术,光伏半导体并行发展

(报告出品方/作者:,赵智勇,臧雄,倪蕤)

微导纳米:立足于ALD技术,产业化进展迅速

产业基金护航,ALD设备新星快速成长

微导纳米 2015 年 12 月 25 日成立,立足于 ALD 技术在光伏、半导体等领域的应用。 光伏领域,公司于 2016 年底研发完成 ALD 单腔体原型机 KF1000 主机,2017 年初开 始一代量产机型 KF4000 的工艺验证,并于 2017 年中开始试量产。2017 年下半年开始 进行 KF6000 机型和以臭氧工艺为核心工艺的 KF10000S 机型的研发,推进产品在 PERC 电池钝化工艺上的突破。2018 年 KF6000 机型在下游头部企业开始量产爬坡, 此后公司进一步推出了 KF10000S、ZR5000X2 等一系列机型,并由 PERC 进入到 TOPCon 领域。公司 ZR5000 2 批量型 PEALD 镀膜系统以及 KF10000S、KF15000 等高端光伏装备陆续获得包括阿特斯、隆基绿能、爱旭股份、晶科能源等多家重要光伏 客户订单,并在通威太阳能、无锡尚德等 N 型 TOPCon 高效电池生产线上开展应用。

半导体领域,公司于 2018 年下旬开启研发工作,目前已实现用于 12 英寸 High-k 栅氧 层薄膜沉积的 ALD 设备的销售,实现国产半导体 ALD 设备在 28nm 节点中的突破。针 对国内半导体薄膜沉积各细分应用领域研发试制新型 ALD 设备陆续取得进展。

股权结构集中,多个产业基金参股。截至 2022 年 12 月 22 日,万海盈投资占公司总股 本的比例为 51.18%,为公司控股股东。王燕清、倪亚兰、王磊组成的家族通过万海盈 投资、聚海盈管理、德厚盈投资间接控制公司 60.60%的股份,同时王磊担任公司董事 长、倪亚兰担任公司董事。王燕清、倪亚兰系夫妻关系,王磊系王燕清、倪亚兰之子, 王燕清、倪亚兰、王磊系公司的实际控制人。聚海盈管理为员工持股平台,由公司主要 核心员工持股。宁德时代、SK 海力士、高瓴等多个行业龙头企业或产业资本参股公司。

技术基因纯正,资深核心团队负责公司经营管理。公司经营管理由核心团队负责,创始 人黎微明博士是国内最早开始研究 ALD 技术的华人,其导师系 ALD 技术发明人。李翔 博士有多年 Foundry 工作经验,对下游市场应用开发经验丰富,当前在产业化应用中心 负责前瞻性研发。公司总经理周仁曾在 Lam、KLA、中微公司、拓荆科技等半导体设备 企业工作,深耕半导体行业 30 余年,是行业专家级人物。公司副总经理、光伏事业部 总经理胡彬曾在先导智能任职多年。

深耕半导体、光伏ALD设备,逐步拓展新应用场景

半导体领域,微导纳米布局 ALD 设备和真空传输系统。公司主要产品有凤凰系列、麒 麟系列和龙系列等。其中,凤凰(P)系列产品终端应用于逻辑芯片、存储芯片生产; 凤凰(P-lite)系列产品终端主要用于第三代化合物半导体、半导体量子器件等。公司凤 凰系列 ALD 设备在 28nm 逻辑芯片的高 k 栅介质层 HfO2 工艺已实现产业化应用,在存 储芯片、第三代化合物半导体和半导体量子器件领域尚处于产业化验证阶段。光伏领域,公司产品主要为真空设备。公司已开发出适用于光伏应用领域的多款薄膜沉 积设备。在光伏领域,公司开发了 ALD、PECVD、PEALD+PECVD 二合一设备、扩散 炉等,以真空设备为主,并提供配套产品及服务。

公司已开展 ALD 设备在柔性电子领域的应用。OLED 器件在氧气和水分作用下易老化 变性,影响器件亮度和使用寿命。ALD 设备可通过在大幅宽的材料表面沉积高性能阻隔 层,对 OLED 器件实现阻水阻氧,有效保护器件的性能和寿命。目前公司自主开发的卷 对卷原子层沉积镀膜系统已实现产业化应用。

营收快速增长,业务趋于多元化,盈利能力有望回升

公司成立以来高速成长,2018-2021 年营收 CAGR 达 116.9%。2021 年及 2022 年前 三季度公司净利润下滑,主要原因系:1)产品结构变化导致毛利率下滑;2)公司订单 快速增长,人员增加,相关管理、研发、销售费用增加;3)2021 年下半年开始陆续新 增 8 个研发项目,加大研发投入导致研发费用率上升。

目前,公司营收、订单以光伏为主;半导体业务在 2021 年开始产生收入,但仍处于投 入期。2021 年之前,公司营收主要来自光伏领域用于 Al2O3 镀膜的 ALD 设备,主要用 于 PERC 领域。2021 年开始,PERC 扩产减少,公司的产品结构趋于多元化,但也导 致公司毛利率下滑。一方面,2021 年有较多高毛利率的改造业务,包括尺寸改造和工 艺改造,2022 年高毛利率的改造业务收入减少,导致毛利率下滑;另一方面,公司新推 出的 PECVD 设备、PEALD 二合一平台设备在拓展初期规模化效应较弱,毛利率偏低。

研发投入高增,期间费用率有待摊薄。公司半导体业务仍处于投入期,且 2021 年下半 年公司开始陆续新增“半导体制造 ALD 设备平台”、“尖端存储器件原子层沉积(ALD) 设备及工艺开发”等 8 个研发项目,导致近几年研发费用快速上升,研发费用率也逐渐 攀升。公司期间费用率整体较高,有待公司规模进一步扩大后摊薄。

半导体ALD设备国产化替代先行者

ALD技术用于薄膜沉积,成膜厚度、质量、阶梯覆盖率优势突出

ALD 技术主要用于薄膜沉积,当前主流的薄膜沉积技术包括 PVD、CVD、ALD 三种: 物理气相沉积(PVD)技术是指在真空条件下采用物理方法将材料源(固体 或液体)表面气化成气态原子或分子,或部分电离成离子,并通过低压气体 (或等离子体)过程,在基体表面沉积具有某种特殊功能的薄膜的技术。PVD 镀膜技术主要分为三类:真空蒸发镀膜、真空溅射镀膜和真空离子镀膜。

化学气相沉积(CVD)是通过化学反应的方式,利用加热、等离子或光辐射 等各种能源,在反应器内使气态或蒸汽状态的化学物质在气相或气固界面上 经化学反应形成固态沉积物的技术,是一种通过气体混合的化学反应在基体 表面沉积薄膜的工艺,可应用于绝缘薄膜、硬掩模层以及金属膜层的沉积。 ALD 是化学气相沉积技术的一种。通过 ALD 镀膜设备可以将物质以单原子 层的形式一层一层沉积在基底表面。ALD可进一步分为TALD、PEALD、SALD、 ECALD、AP-ALD 和流床式 ALD 等。微导纳米目前已布局 TALD 和 PEALD 两种产品。其中光伏领域包括 TALD 和 PEALD, 半导体领域以 TALD 为主,PEALD 用于第三代化合物半导体。

ALD 技术通过将气相前驱体脉冲交替地通入反应室并在沉积基底上发生表面饱和化学 反应形成薄膜。以 Al2O3 薄膜的沉积为例,每一个单位循环分为四步:1)前驱体脉冲: TMA(三甲基铝)蒸气脉冲进入反应室,在暴露的衬底或膜表面发生化学吸附反应;2) 惰性气体吹扫:将多余的 TMA 蒸气和反应副产物甲烷带出反应室;3)氧化物脉冲:水 蒸气脉冲进入反应室和 TMA 前驱体吸附的表面继续进行表面化学反应;4)再次惰性气 体冲洗。通过四个步骤循环,最后形成所需厚度的致密薄膜。

ALD 技术具有自限性,即前驱体已经与所有可用的位点反应之后,反应就会停止。因此 ALD 技术的优势是可在复杂形貌上沉积高质量薄膜,薄膜厚度原子层级别精度可控。经 由 ALD 技术沉积的薄膜具备一些特性:1)三维共形性,广泛适用于不同形状的基底, 可用于在非常复杂的形貌结构表面沉积薄膜;2)大面积成膜的均匀性,且致密、无针 孔;3)可实现亚纳米级的薄膜厚度控制。 虽然 ALD 属于化学气相沉积技术的一种,但 ALD 反应原理和工艺方式与一般通用的 CVD 技术存在较大区别,在 CVD 工艺过程中,化学蒸汽不断通入真空室内,通常沉积 过程是连续的,其中气体流量、流动的均匀性等多种因素均会影响成膜情况;而在ALD工艺过程中,不同的反应物(前驱体)以气体脉冲的形式交替送入反应室中,并非连续 的工艺过程。而 PVD 是一种物理过程,与 CVD、ALD 技术存在显著差异。

ALD 技术优势在于薄膜厚度、薄膜质量、阶梯覆盖率。相比于 ALD 技术,PVD 技术生 长机理简单,沉积速率高,但一般只适用于平面的膜层制备;CVD 技术的重复性和台阶 覆盖性比 PVD 略好,但是工艺过程中影响因素较多,成膜的均匀性较差,并且难以精 确控制薄膜厚度。由于 ALD 技术具备自限性与三维共形性,在大面积成膜的均匀性和精确的膜厚控制等 方面具备优势,因此广泛适用于不同环境下的薄膜沉积,在光伏、半导体、柔性电子等 新型显示、MEMS、催化及光学器件等诸多高精尖领域均拥有良好的产业化前景。

制程节点缩小,ALD设备重要性凸显

ALD 在集成电路产业中主要应用领域包括:1)高 K 介电质(Al2O3,HfO2,ZrO2,Ta2O5, La2O3):用于晶体管栅极与 DRAM 电容器介电层;2)金属栅电极(Ir,Pt,Ru,TiN); 3)金属互连与衬板(Cu,WN,TaN,Ru,Ir):用于铜互连线的金属扩散阻挡层,晶 体管栅的半导体通孔,和存储单元应用,如 DRAM 电容器,钝化层等。

集成电路生产中,ALD/PEALD 技术是自对准双重成像技术中侧墙环节的主力应用技术。 自对准双重成像技术(SADP,Self-Aligned Double Patterning)的流程为:(1)先在衬 底表面沉积一层牺牲材料;(2)通过光刻和刻蚀将掩模上的图形转移至牺牲材料层;(3)对于牺牲材料上的图形(即“核”),使用 ALD 技术在其表面和侧面沉积一层厚度均匀 的薄膜(spacer);(4)刻蚀掉 spacer 层,此时由于核侧壁的几何效应,沉积在核侧壁 的材料留下来(即“侧墙”);(5)去掉核,衬底表面只剩下侧墙;(6)通过刻蚀将侧墙 图形转移到硬掩模上。通过 SADP 技术,能够通过非光刻技术实现对光刻图形的空间倍 频。在 28nm 以上成熟制程中,ALD 主要用于栅极处的 SADP 环节。

在 28nm 以下先进制程的 FinFET 制造工艺中,ALD 技术用量及重要性快速提升。 FinFET 制造工艺难点在于形成 Fin 的形状,Fin 的有源区不是通过光刻直接形成,而是 通过自对准双重成像技术(SADP)工艺形成。ALD 所沉积的 Spacer 材料的宽度即决 定了 Fin 的宽度,是制约逻辑芯片制程先进程度的核心因素之一。ALD 设备沉积的薄膜 具有非常精确的膜厚控制和非常优越的台阶覆盖率,在 28nm 以下关键尺寸缩小的双曝 光工艺方面取得了越来越广泛的应用。在 DRAM 存储芯片中,ALD 设备可应用于高 K 金属电容(high-k/Metal Capacitor),硅 通孔(TSV),浅沟道隔离(STI),多重曝光(Multi-Patterning),铜阻挡层&铜种子层 (Copper Barrier & Seed),钨插塞(W Plug)等环节。DRAM 应用中,ALD 可以在深 沟槽形貌表面均匀镀膜。

在 3D NAND 存储芯片中,ALD 设备可应用于 High-k block;硅通孔(TSV),浅沟道隔 离(STI),多重曝光(Multi-Patterning),铜阻挡层&铜种子层(Copper Barrier & Seed), 字线(Word-line),钨插塞(W Plug)等环节。3D NAND 中,随着形貌结构复杂,沟 槽深,ALD 可以在复杂形貌表面均匀镀上一层薄膜。由于 ALD 技术可以精准控制薄膜厚度、复杂的形貌结构以及掺杂的材料的特点,也可 以应用于化合物半导体中。例如 GaN HEMT 中采用具有宽带隙特性的 Al2O3 作为栅极 绝缘层的材料,若想满足用 10~20nm 的厚度达成高压绝缘层的特性,ALD 技术是为数 不多能够实现的方法。

ALD设备用量提升叠加国产化替代,中国本土市场快速成长

薄膜沉积设备系晶圆生产关键设备之一。从晶圆厂的投资构成来看,刻蚀设备、光刻设 备、薄膜沉积设备是集成电路前道生产工艺中最重要的三类设备。其中,薄膜沉积(包 括 CVD、PVD、ALD 等)设备投资额占晶圆厂投资总额的约 25-27%。随着集成电路节点缩小,ALD 设备的用量增加,重要性也逐步提升。随着制程节点缩 小,芯片内部立体结构趋向复杂化,所需沉积的薄膜层数、薄膜种类增加。在 90nm CMOS 工艺中,大约需要 40 道薄膜沉积工序。而在 3nm FinFET 工艺产线中,薄膜沉 积工序超过 100 道,涉及的薄膜材料由 6 种增加到近 20 种,对于薄膜颗粒的要求也由 微米级提高到纳米级。因此对于 14nm 以下的技术节点,ALD 设备的重要性更加凸显。

在薄膜沉积设备中,ALD 设备占比呈提升趋势。根据 Gartner 统计,2019 年全球半导 体薄膜沉积设备中 PECVD、PVD、ALD 设备的市场规模占比分别为 33%、23%和 11%; 2020 年全球半导体薄膜沉积设备中 PECVD、PVD、ALD 设备的市场规模占比分别为 34%、21%和 12.8%。据此测算,全球半导体 ALD 设备销售额约 25-30 亿美元。前道设备市场规模增长,薄膜设备重要性提升,ALD 设备在薄膜沉积设备中的占比增 加,三重驱动力使得 ALD 设备市场规模快速增长。据 SEMI 预测,ALD 设备市场在 2020-2025 年 CAGR 达到 26.3%,在各类关键晶圆生产设备中增速最快。

美国限制政策持续出台之下,中国大陆着重投资成熟制程,并培养相关产业链。限制政 策封锁范围逐步扩大化:封锁对象从华为到半导体头部企业等多家半导体公司,进一步扩大到所有中国公司;封锁制程从逻辑扩大到存储,逻辑限制从 10nm 扩大至 14nm 以 下制程;限制内容包括美国软件、设备、半导体产品,在中国建立先进制程工厂等。但长期来看,成熟制程(28nm 及以上)仍有较长的生命周期,需求量很大。28nm 制程 可以较大程度上满足通信、工业、车规级芯片需求和一部分消费电子、数据处理需求。

目前中国大陆成熟制程产能紧缺,晶圆厂成熟制程(包括 8/12 寸)扩产动力很强。据 SEMI 预计,全球半导体行业在 2021 至 2023 年间将开始建设 84 座大规模芯片制造工 厂,投资额 5000 多亿美元,其中 2022 年开始建设 33 家新工厂,预计 2023 年将新增 28 家工厂。预计中国大陆新芯片制造工厂数量将超过所有其他地区,计划将有 20 座支 持成熟工艺的工厂/产线。当前 ALD 设备国产化率仍然较低。目前国产设备在 ALD 领域的 份额较低,多为验证性订单,或刚取得量产验证通过。限制政策下,下游采用国产设备 的意愿更强,国产龙头公司中标量增大,验证工艺道数增多,中国本土半导体设备厂商 设备验证进度有望加快。

进一步叠加国产化替代,中国 ALD 设备成长空间广阔。据 SEMI 预测,2022 年中国薄 膜设备市场规模 41 亿美元,其中 ALD 设备市场规模 4.49 亿美元,至 2025 年,中国 ALD 设备市场规模将提升至 7.5 亿美元。当前,半导体领域 ALD 设备制造商以国外企业为主,包括全球知名制造商东京电子 (TEL)、先晶半导体(ASM International)、泛林半导体(Lam)、应用材料(AMAT)、 日本国际电气(KE),此类企业产品线通常横跨各类薄膜沉积设备。国际巨头企业在客户、产品线布局、技术储备、研发团队、资金实力等方面具备优势, 在 ALD 设备全球市场中,TEL、ASMI 的市场占有率分别为 31%和 29%。

ALD 设备国产化逐步突破。国内主营业务涵盖半导体 ALD 设备的主要有微导纳米、拓 荆科技、北方华创、盛美上海,此外中微公司已明确表示正在研发 ALD 设备。其中,微 导纳米以 TALD 为主,在 28nm 逻辑高 k 栅初步实现产业化应用;PEALD 主要应用于 光伏、第三代半导体;微导纳米在薄膜领域还布局 PECVD 设备,主要应用于光伏领域。 拓荆科技聚焦 CVD 设备细分的 PECVD、ALD、SACVD 三类半导体设备,截至公司招 股书披露时间,已实现 PEALD 部分工艺 28-14nm 产业化应用,TALD 正在研发。北方 华创推出 Polaris PE 系列 PEALD 设备,在薄膜领域还布局 PVD、LPCVD、立式炉管 等。盛美上海推出新型 Ultra Fn A 立式炉设备,该设备具备 TALD 功能。中微公司积极 推进 ALD 设备研发,在薄膜领域还布局 LPCVD、和外延设备(EPI)研发。

技术优势+本地化服务,微导纳米成为ALD设备国产化先行者

微导纳米技术优势突出,核心团队有多年 ALD 技术、半导体制造工艺、半导体薄膜工 艺、太阳能电池经验。在 ALD 领域,公司技术基因纯正,首席技术官是最早开始研究 ALD 技术的华人之一,在国际 ALD 技术领域享有较高声誉;近两年来公司也从海内外 吸引了多位半导体薄膜沉积领域专家加入。

公司重视基础研发与行业应用的紧密结合。技术产业化方面,公司团队在原子层技术应 用、量产导入等方面经验丰富。公司也与客户积极沟通,以下游企业的实际需求为研发导向,针对客户的工艺和薄膜性能需求快速响应,及时满足客户产线需求。通过与客户 不断磨合,公司在迭代中提升产品力,并缩短新产品导入时间。 作为本土企业,公司依靠健全的服务体系,积极的客户响应,形成本地化服务优势。公 司技术服务体系健全,为客户提供及时的驻厂技术服务支持,及时到达现场排查故障、 解决问题,保证快速响应客户的需求。

在半导体 ALD 设备领域,公司产品总体性能和关键性能参数已达到国际同类设备水平。 当前,公司已形成 3 大类型覆盖多种工艺环节的半导体薄膜沉积设备。其中在半导体先 进制程中难度较大的工艺之一,高介电常数(High-k)栅氧薄膜工艺中实现产业化应用。公司重视产品技术自主可控,推动关键零部件国产化替代。真空系统类、机械一体类是 公司所需的主要零部件。目前,公司所采购的外腔体、加热炉体、石英管、石墨舟、炉 管均为国产产品,截至 2022 年 6 月末,气路支板装配体、源瓶、等离子体电源等原材 料采购也已基本实现国产化。但仍有部分零部件尚未完全国产化,例如臭氧发生器、气 动阀件、真空压力计等需要全部或部分从国外进口。

光伏电池片技术革新,ALD技术持续拓展应用

电池片盈利提升,电池生产厂商积极扩产

电池片产能利用率提高,盈利改善,驱动扩产。2020 年电池片企业大规模扩产并在 2021 年释放产能,但 2021 年硅料价格上涨下游需求不旺,导致电池片企业亏损,同时叠加 电池片技术路线迭代的不确定性,使得 2021 年电池片厂扩产相对较少;2022 年大尺寸 电池片产能紧张,盈利改善。供需优化+大尺寸化,电池片盈利提升。2022 年上半年供需改善及大尺寸占比快速提升, 带动电池片逐渐紧俏。2021H2 电池片环节盈利亏损且技术未定,扩张放缓;当前业内 大尺寸出货占比预计已达到 80%以上,较 2021 年 12 月的 60%-70%进一步提升,Q2 以来电池片企业大尺寸产能开工率接近满产,顺价能力得到提升。2022 年下半年逐步 进入新技术落地的时间窗口,新技术带来的利润增厚预期得到强化。

路线选择担忧降低,各大厂商积极扩产。在电池盈利改善、组件盈利有望底部反转的背 景下,各大厂商对于技术路线选择问题的担忧有所降低,积极加快电池与组件产能布局。 PERC:单 GW 投资额最低,最成熟平均转换效率偏低; TOPCon:最大优势在于与 PERC 产线兼容度高,是目前初始投资成本最低 的 N 型高效电池之一; XBC:工艺较为复杂,工艺流程部分环节、设备与 PERC 相同,单 GW 设备 投资额相较 PERC 提升不多,单面发电率高适合分布式发电; HJT:核心优势是电池结构相对简单,然而目前设备成本依旧较高,经济性不 足,在材料端和设备端均存在降本空间。

N型电池量产拉开帷幕,设备投资大空间、高弹性

电池盈利改善、组件盈利有望底部反转的背景下,各大厂商对于技术路线选择问题的担 忧有所降低,积极加快电池与组件产能布局。 不同 N 型技术路线在转换效率、电池成本、工艺复杂性及与存量产线的兼容性等方面均 有所不同。N 型电池技术未来或并行发展,竞争共存。转换效率来看,三种 N 型电池技术均能够实现 24%以上的量产效率,IBC 电 池效率更高,且能够分别与 TOPCon、HJT 电池技术进行结合,升级成为转 换效率更高的 TBC、HBC 电池;成本端对比来看,目前,TOPCon 的单 W 成本已低于 PERC,XBC 和 HJT 仍高于 PERC; 从工艺复杂度来看,XBC>TOPCon(12-13 道)>PERC(8-10 道)>HJT(4- 6 道); 从与 PERC 产线的兼容性来看,TOPCon(可基于 PERC 升级)>XBC(部分 兼容)>HJT(完全不兼容),TOPCon 可基于现有 PERC 产线升级。

2022 年扩产以 N 型新技术为主,TOPCon、XBC 电池扩产占比或超 70%;当前 HJT 经 济性不明显,扩产有待发力。设备端而言,不论哪种技术路线,设备投资较此前的 PERC 均有提升:PERC 投资额约 1.2-1.3 亿元/GW,TOPCon 约 1.6-1.8 亿元/GW,IBC 电池 约 2-3 亿元/GW,HJT 电池约 4.0 亿元/GW。2022、2023 年电池片设备市场规模增速 将远超电池扩产幅度,光伏设备大空间、高弹性的属性得以进一步体现。新技术工艺流 程中新增增量设备,TOPCon、XBC 中增量设备包括硼扩散、LPCVD 等,HJT 则为全 新工艺。扩散、LPCVD、PECVD 等设备受益 N 型电池技术投资额增加,价值量提升。

2022-2023 年或为 TOPCon 扩产大年。TOPCon 由于其性价比优势、且成熟度相对较 高,有望成为2023年扩产的主力,目前已公告宣布的TOPCon产能规划已超过400GW; 2022年TOPCon累计招标达120GW,预计2023年TOPCon招标有望达150-200GW。 对新型高效电池来说,目前产业化前景最为明确的 TOPCon 电池和 HJT 电池对于薄膜 沉积的需求更高。TOPCon 电池生产线可以由 PERC 电池生产线升级改造实现,除原 薄膜沉积需求外,还增加了隧穿层和掺杂多晶硅层镀膜需求。HJT 电池整体结构变化较 大,其制造环节只需 4 大类设备,分别是制绒清洗设备(投资占比 10%)、非晶硅沉积设备(投资占比 50%)、透明导电薄膜设备(投资占比 25%)和印刷设备(投资占比 15%), 其中非晶硅沉积设备、透明导电薄膜设备均需要用到薄膜沉积设备。

微导纳米光伏ALD设备形成产业化应用

微导纳米成为光伏薄膜沉积市场主要企业,ALD 领域市占率领先。目前,国内光伏设备 已基本实现国产替代,并在国际竞争中处于优势地位。公司光伏领域薄膜沉积设备的竞 争对手包括主要采用 ALD 技术的无锡松煜、理想晶延,以及主要采用 PECVD 技术的 捷佳伟创、北方华创、红太阳、拉普拉斯、Centrotherm(商先创)等。2020 年、2021 年公司光伏薄膜沉积设备相关业务在中国光伏薄膜沉积行业占比分别为 7.78%和 7.92%,公司光伏 ALD 技术相关业务在中国光伏薄膜沉积行业占比分别为 7.78%和 6.52%。根据中国光伏行业协会发布的主要光伏设备厂商营收情况统计,公司在光伏薄 膜沉积行业市场排名为第五名,公司连续两年在光伏 ALD 行业市场排名第一。

光伏薄膜沉积设备部分技术指标处于行业领先。光伏薄膜沉积设备在不同电池结构及其 膜层制备中的技术参数需求存在较大差异。国内主要太阳能电池片为 PERC 电池,其中 Al2O3 镀膜设备是实现 PERC 电池量产的关键设备,所镀膜层用于实现钝化效果,以达 到更高的光电转化水平。PERC 电池 Al2O3 镀膜性能指标能够较大程度上反映各公司产 品和技术情况。微导纳米研发的 ALD 设备镀膜产能达 10000 片/小时,领先于行业内竞 争对手。微导纳米光伏薄膜沉积设备的技术指标与国内领先企业具有可比性,部分指标 数据占有优势。从国产光伏设备在国际竞争中处于优势地位的客观情况来看,微导纳米 光伏薄膜沉积设备的技术水平在国际竞争中亦能处于较高水平。

拓展整线制造设备,逐梦光伏领域大空间

公司于 2019 年研制出祝融系列管式 PEALD 系统,以 AEP (ALD Enabled Photovoltaics)技术为核心,提出了 TOPCon 电池的全新工艺路线。2021 年 4 月,微 导纳米、先导智能与尚德电力正式签订 2GW TOPCon 整线项目合作协议,携手打造 GW 级 TOPCon 整线。其中,微导纳米提供 PEALD、PECVD、扩散、退火等设备,打造全 球首条 GW 级以原子层沉积技术为核心的 TOPCon 整线;先导智能提供自主研发的 TOPCon 产线清洗制绒主设备、碱抛刻蚀主设备、多晶硅清洗主设备、丝印整线主设备、 测试分选主设备、及整线自动化产线上下料设备等,打造数字化 TOPCon 高效光伏电 池整线智能工厂。该条整线可兼容 182mm 及 210mm 硅片电池,目前已突破 25%的平 均量产效率,并达到日产能 30 万片以上,电池量产效率处于行业前列。

微导纳米九大步骤、四大类设备助力提供高效电池 TOPCon 技术量产。针对 TOPCon 电池正面钝化层、背面隧穿层和原位掺杂多晶硅层等关键薄膜工艺技术,微导纳米采用 热 ALD 设备,搭载行业创新的 PEALD 二合一技术(能够在同一台设备中完成两种薄膜 的制备),除提高薄膜质量以提供更好的钝化效果外,降低了设备投资与生产成本。

目前,公司 TOPCon 整线设备已经在通威太阳能、无锡尚德等 N 型 TOPCon 高效电池 生产线上开展应用,TOPCon 产线的设备已批量发货。无锡尚德单条 TOPCon 产线 2GW, 公司提供的设备价值量含税金额 1.995 亿元,据此测算,单 GW 公司提供的设备价值量 约 9000 万元-1 亿元,约占 TOPCon 整线投资额的 50%;相较于仅提供 ALD 镀膜设 备,公司设备可达市场空间呈数倍增长。随着 TOPCon 整线的推出和在客户端的示范 效应,公司在光伏领域有望获得更多整线订单。

订单充足+积极扩产,募投项目推动ALD技术产业化应用

光伏行业市场集中度较高,公司实现对主要客户的全覆盖。据中国光伏行业协会统计, 2021 年我国产能排名前十的电池片企业总产能达到 246.1GW,约占全国总产能的 68.2%,总产量合计约为 155GW,约占全国总产量的 78.3%。经过多年的发展,公司 积累了丰富的光伏电池片薄膜沉积技术,树立了良好的市场口碑,与前十名电池片企业 均建立了合作关系。随着主要客户市占率的不断提升及生产经营规模的不断扩大,有利 于公司产品的市场渗透率提升,促进公司业绩的持续增长。 太阳能电池片技术路线目前正由 PERC 工艺向新型高效电池(TOPCon、HJT 等)发 展,公司在行业中已率先取得无锡尚德、通威太阳能、晶科能源、商洛比亚迪等公司 TOPCon 产线设备订单。

在手订单充足,且主要为毛利率较高的 ALD 设备订单,随着在手订单的交付并取得客 户验收,公司具备持续提升盈利能力的空间。截至 2022 年 9 月末,公司已取得在手订 单 19.75 亿元,其中专用设备在手订单合计 18.56 亿元(设备改造业务在手订单 1.15 亿元),覆盖光伏、半导体、柔性电子行业的多个先进应用领域,专用设备在手订单中毛 利率较高的 ALD 设备的占比为 85.66%。

积极扩产,保证订单交付。2022 年 9 月末,公司固定资产增加至 0.38 亿元,再创历史 新高。由于专用设备多为非标产品,需要定制开发,较为依赖人工装配,且需要设备公司安排人员进驻客户现场进行调试和服务,因此行业产能主要取决于场地面积和员工人 数。为保证订单交付,公司积极招募员工,人员快速增长。截至 2022 年 6 月末,公司 员工人数达 808 人,相较于 2021 年末的 511 人大幅增长 58%。2022 年公司新增租赁 多处房屋进行扩产或办公,据不完全统计,新增场地面积超 6700 平米。

募投项目聚焦原子层沉积技术扩产与产业化应用。公司募集资金将主要用于光伏、柔性 电子、半导体等领域 ALD 设备扩产,同时积极推动 ALD 技术的产业化应用。公司具备 光伏、半导体和柔性电子 ALD 设备相关技术和生产能力,项目成功实施后,将进一步 提升现有设备产业化能力,丰富公司的产品矩阵,巩固公司市场地位。同时,在现有技 术的基础上,公司围绕国产化替代的战略需求,结合行业内最前沿的技术发展趋势和市 场需求,针对更先进技术节点和工艺性能,搭建先进集成电路制造装备的研发平台、高 端研发人才培养平台以及未来新项目、新企业发展孵化器,提升公司研发水平和市场竞 争力。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】「链接」

展开阅读全文

页面更新:2024-05-04

标签:导纳   半导体   技术   量产   薄膜   电池   领域   工艺   设备   公司

1 2 3 4 5

上滑加载更多 ↓
推荐阅读:
友情链接:
更多:

本站资料均由网友自行发布提供,仅用于学习交流。如有版权问题,请与我联系,QQ:4156828  

© CopyRight 2008-2024 All Rights Reserved. Powered By bs178.com 闽ICP备11008920号-3
闽公网安备35020302034844号

Top