国内晶圆缺陷检测设备发展的如何?

作者:慧博智能投研
链接:https://www.zhihu.com/question/267789327/answer/2821940305
来源:知乎
著作权归作者所有。商业转载请联系作者获得授权,非商业转载请注明出处。

量测环节是集成电路制造工艺中不可缺少的组成部分,贯穿于集成电路领域生产过程。量测设备能在生产中监测、识别、定位、分析工艺缺陷,对晶圆厂及时发现问题、改善工艺、提高良率,起到至关重要的作用。随着集成电路继续多层化、复杂化,量测设备的重要性日趋凸显。

依据最新预估,中国大陆量测设备市场规模31.1亿美元,国产化率只有2%。海外不断施加限制措施,量测设备已成为光刻机之外威胁较大的短板。面对威胁,国内晶圆厂积极引入国产量测设备进行工艺验证,有望推动国内量测产业快速发展。受益于国内半导体产业链的快速发展和产业链安全关注的提升,半导体量测设备国内厂商国产化市场空间有望扩容,中科飞测、上海睿励、上海精测、东方晶源等量测设备公司正逐步打破海外厂商垄断,乘国产替代之风而起。

量测环节在集成电路制造中的影响有多大?流程是怎样的?量测设备种类都有哪些?行业壁垒及技术路线有哪些?未来技术路线提升方向都有什么?未来市场空间有多大?目前的竞争格局是怎样的?相关公司都有哪些?带着这些问题,下面我们来一起探讨。

一、半导体量测设备概述

1.量测设备简述

芯片的完整生产流程包括前道晶圆制造和后道封装测试。在晶圆制造中使用的设备为前道设备;封装测试中使用的设备为后道设备。晶圆制造借助半导体前道设备及EDA等工业软件系统,以硅片、电子化学品、靶材、气体等为原材料,将设计的电路图转移到晶圆上。晶圆的制造过程包括光刻、刻蚀、薄膜沉积、清洗、热处理、离子注入、化学机械抛光、量测等多个工艺步骤。由于集成电路一般由多层结构组成,故在单个晶圆的生产中,需多次重复以上步骤,层层成形并最终构成完整的集成电路结构。量测指对被观测的晶圆电路上的结构尺寸和材料特性做出的量化描述,如薄膜厚度、关键尺寸、刻蚀深度、表面形貌等物理性参数的量测。量测设备不直接参与对晶圆的光刻、刻蚀等工艺处理,但每个重要的工艺步骤后,量测设备会对晶圆进行检测,以验证并改善工艺的质量,并剔除不合格率过高的晶圆。

2.量测环节必要性

量测环节对保证芯片良品率至关重要。高难度的工艺步骤增大了工艺缺陷的概率,工艺节点每推进一代,工艺中产生的致命缺陷数量会增加50%。;漫长的生产时间,增大了晶圆被损坏、污染的可能性。而晶圆生产近千道的工艺步骤数量,对芯片的最终良率带来较大压力。随着集成电路制程继续朝高端推进,晶圆生产对工艺良率控制提出了更高的要求。量测设备能在晶圆生产中监测、识别、定位、分析工艺缺陷,帮助晶圆制造企业及时发现问题、改善工艺、提高良率。高端集成电路生产对于量测设备的依赖将加深,量测设备的市场规模有望持续扩大。

3.量测流程

在量测与测试步骤结束后,晶圆上的良率统计结果会以晶圆分布图的方式反馈给工艺人员。合格与不合格的芯片在晶圆上的位置,会通过工业软件录入到计算机系统中,以晶圆图的形式记录下来。较早的技术会在不良芯片的表面上涂上墨点(Inking);晶圆移送到封装厂后,就不会去封装这些带墨点的芯片,从而节省大量的人力物力成本。此外,部分芯片会被判定为不合格,但是可以修复;这些芯片经过专用的激光修复机处理后,会重新进入测试流程。

4.量测设备种类

量测设备可分为尺寸测量设备(Metrology)、缺陷检测设备(Defect Inspection)两大类,两类设备均广泛运用于晶圆生产流程中。测量设备对单步工艺(或若干次相似工艺)处理的晶圆进行测量,确保关键工艺参数(厚度、线宽、成分等)符合集成电路的工艺指标。测量设备主要包括膜厚测量、关键尺寸测量、套刻测量等。缺陷检测设备对晶圆表面的电路结构进行扫描,发现并定位异常的电路图形,主要包括有图形检测、无图形检测、电子束检测三大类。

二、行业壁垒及技术路线

1.行业壁垒

量测设备需要光学、电子学、移动平台、传感器、数据计算软件等多个系统密切配合,每个设备厂商针对上述系统都有独特设计和大量的独家knowhow,行业壁垒较高。此外,制程升级也带来了新的难点。等同样大小的缺陷在成熟制程中是非致命的,在先进制程中却极有可能是导致电路失效的致命性缺陷。因此量测设备需要更高的灵敏度,更快速、更精确的测量能力。超薄膜(厚度小于10埃)、极高深宽比、非破坏性的图形等结构的测量,也提出了新的要求。量测设备的主要技术难点包括分辨率、软件算法、产能等。

2.技术路线

从技术路线原理上看,检测和量测主要包括光学检测技术、电子束检测技术和X光量测技术,其中光学检测技术空间占比较大。根据VLSI Research和QY Research的报告,2020年全球半导体检测和量测设备市场中,应用光学检测技术、电子束检测技术及X光量测技术的设备市场份额占比分别为75.2%、18.7%及2.2%,可以看出应用光学检测技术的设备在占比方面具有领先优势。

3.未来技术提升方向

(1)光学检测技术分辨率提高

随着光刻技术的不断发展,集成电路构造不断缩小,对检测技术的分辨精度也提出了更高要求。目前较先进的量测设备已使用DUV波段光源,能够检测小于14纳米的缺陷,并实现0.003纳米的膜厚测量;展望未来,量测设备会更多使用波长更短的VUV、EUV光源来捕捉更小的缺陷。此外,光源光谱范围的拓宽和光学系统数值孔径的提升以提高光学分辨率,也是重要突破方向。

(2)大数据检测算法和软件重要性凸显

量测设备已不单纯依赖图像解析来捕捉缺陷,而是结合了图像信号处理软件和算法,在信噪比图像中寻找异常信号。量测算法的专业性强、难度大,需要较长时间的工艺经验积累,开发周期长。量测设备企业均在自家产品上研发算法和软件,算法不对外单独出售。随着量测设备收集的数据量继续增长,未来对量测设备算法软件的要求会越来越高。

(3)设备检测速度和吞吐量的提升

量测设备的产能关系到晶圆生产的效率和经济效益。量测设备的产能提升,将有效降低每片晶圆的检测成本,从而在提高良品率的同时,更好地控制晶圆厂的生产成本。

三、市场空间

1.半导体检测与量测设备市场空间广阔

受益于半导体行业红利,全球半导体设备整体市场持续增长。根据SEMI数据,2020年全球半导体设备市场规模为712亿美元,2021年为1026亿美元,同比增长44.10%,SEMI预测2022年将增长至1175亿美元,2023年将持续扩张到1208亿美元。

中国半导体设备市场快速增长,设备进口额占比仍较大。2016-2021年中国大陆半导体设备市场规模复合增速为35.6%,设备进口额复合增速为29.8%。2021年中国半导体设备市场为296亿美元,同比增速为58.12%,第二次成为全球半导体设备的最大市场。其中进口占比呈降低趋势,但仍然过半,国内半导体生产所用设备对进口依赖较大。

前道量测设备对保证晶圆良率至关重要,全球检测和量测设备市场预计于2022年达92亿美元。根据VLSI Research的统计,2016年至2020年全球半导体检测与量测设备市场规模的年均复合增长率为12.6%,预计2022年全球半导体检测与量测设备市场规模将超90亿美元。

细分设备市场:检测设备占比62.6%,量测设备占比33.5%。2020年全球前道量测设备销售总额为76.5亿美元,其中检测设备占比为62.6%,量测设备占比为33.5%。根据产品类型,检测设备可细分为无图形晶圆缺陷检测设备、图形晶圆缺陷检测设备、掩膜检测设备等;量测设备可细分为三维形貌量测设备、薄膜膜厚量测设备(晶圆介质薄膜量测设备)、套刻精度量测设备、关键尺寸量测设备、掩膜量测设备等。其中纳米图形晶圆缺陷检测设备投资额最高,占量测市场近四分之一的比重。

国内检测与量测设备市场规模突破21亿美元,增速显著高于全球。2016年至今,国内半导体设备和检测与量测设备市场快速发展,VLSI Research数据显示,中国大陆2020年市场规模超过21亿美元,五年CAGR为31.6%,再次成为全球最大的检测与量测设备市场。

2.晶圆厂扩产+技术迭代推动前道量测设备市场增长

(1)IC制造国产化率低,国内晶圆厂将持续扩产

半导体下游推动晶圆市值突破新高,催生晶圆厂扩建意愿。新能源汽车、数据中心等半导体下游需求推动晶圆需求不断增长,成熟制程供不应求,晶圆市值上涨。据IC insights估计,2022年全球晶圆市值有望达1321亿美元。受益于晶圆厂扩张扩产,前道量测设备市场空间广阔。量测与检测设备作为重要的前道设备之一,市场需求空间大。

(2)摩尔定律尚未完结,工艺迭代推动设备技术提升

工艺制程节点缩小,要求光学检测技术分辨率不断提高。主流半导体制程正从28nm、14nm向10nm、7nm发展,部分先进应用领域的处理器工艺制程已经迈向新节点,达到了市场上最先进的5-7nm级别。根据YOLE的统计,工艺节点每缩减一代,工艺中产生的致命缺陷数量会增加50%,因此每一道工序的良品率都要保持在非常高的水平才能保证最终的良品率。为满足更小关键尺寸的晶圆上的缺陷检测,必须使用更短波长的光源,以及使用更大数值孔径的光学系统,因此检测和量测设备需不断升级。

客户降本增效的需求促进设备算法软件、检测速度与吞吐量的升级。为了控制成本,提升良率,先进的检测和量测技术也不可或缺。检测的可靠性至关重要,因为客户需要保证合格的产品不被排除,同时又须确保捕获所有缺陷。量测设备企业通常在自己的检测和量测设备上自行研制开发算法和软件。随着工艺节点缩小,未来对检测和量测设备相关算法软件的要求会越来越高。此外,检测速度和吞吐量更高的检测和量测设备也可帮助下游客户更好地控制企业成本,降本增效。

四、竞争格局

1.全球半导体设备市场寡头垄断,美、日、欧领先

半导体设备属于高壁垒和高投入行业,厂商先发优势明显。半导体设备是晶圆厂商资本开支的重要投向,晶圆厂约80%的投资用于购置上游设备,而设备厂商本身也需要投入大量资金用于研发适配客户的产品和购买原材料及零部件。半导体行业客户对设备的质量、参数、运行稳定性等方面有较高要求,因此一经认定便不会轻易更换设备供应商。

整体来看,全球半导体设备市场处于寡头垄断的局面,市场集中度较高,美、日欧技术相对领先,代表厂商包括应用材料、阿斯麦、拉姆研究、东京电子、科磊半导体等,也占据了全球半导体设备市场的主要份额。VLSI Research数据显示,2020年全球前十大半导体设备厂商均为境外企业,市场份额合计高达76.6%。

国内厂商起步较晚,当前设备市场主要依赖进口。中国半导体设备行业整体国产化率的提升还处于起步阶段,目前国内半导体生产厂商所使用的半导体设备仍主要依赖进口。根据中国电子专用设备工业协会的统计,2021年半导体设备进口46,894台,合计进口额170.5亿美元,同比分别增长84.3%和56.4%。

2.KLA一马当先,美日包揽量测设备市场前五

当前全球半导体检测与量测设备市场呈现相对集中的格局,份额前五被美国和日本厂商包揽,科磊半导体、应用材料、日立位居前三,科磊以营收38.9亿美元绝对优势占据50.8%的全球市场份额。

2016年-2020年,中国大陆半导体检测与量测设备市场规模呈现快速增长,并与2019年超越中国台湾成为全球最大的半导体检测与量测设备需求地,2020年进一步将此比例提升至27.4%。2016-2020年,全球半导体检测与量测设备市场CAGR为12.6%,而中国大陆的CAGR为31.6%,远高于全球。

国内量测设备国产化率较低,进口依赖度较高,科磊占据过半市场份额。VLSI Research数据显示,国内检测与量测设备市场仍由海外几家龙头厂商占据主导地位,其中科磊半导体在中国市场的占比仍然最高,2020年达54.8%,近5年CAGR超过35.7%,远高于其在全球13.2%的复合增速。

3.国内厂商逐个突破,国产化率有望持续提升

受益于国内半导体产业链的快速发展和产业链安全关注的提升,国内厂商国产化市场空间有效扩容。VLSI Research数据显示,2016-2020年中国大陆半导体检测与量测设备市场规模的年均复合增长率为31.6%,显著高于全球半导体设备和检测及量测设备市场增速。随着我国半导体产业产能扩张仍在继续,本土企业将受益于中国半导体行业的整体发展。

中科飞测、上海睿励、上海精测、东方晶源等设备公司成为国内检测与量测公司的代表,部分产品运用于国产晶圆产线。经过多年潜心研究和技术经验积累,我国检测与量测设备行业实现较大突破,以中科飞测、上海睿励、上海精测为代表的的国产厂商开始发力,部分产品已经在中芯国际、长江存储、长电科技、华天科技、通富微电等国内主流集成电路制造产线,打破在质量控制设备领域国际设备厂商对国内市场的长期垄断局面。

国内公司市场整体占比较小,现处于高速发展阶段。中科飞测招股说明书披露,2021年中科飞测、上海睿励和上海精测三家国产检测与量测公司合计营收5.13亿元,相较于超过21亿美元的国内市场空间而言占比较小,粗略估算三家合计国内市占率不足4%。从营收绝对值及增速来看,国产厂商由于基数较小,同比增长迅速,2018-2020年中科飞测营收从0.3亿元增至2.38亿元,CAGR达到182.12%,远高于行业速度。

国产工艺检测厂商三季度中标34台,国产化持续推进。中国半导体检测和量测设备市场处于寡头垄断格局,国外竞争对手占据市场竞争优势地位,本土企业市场占有率较低。公集微网整理数据显示,2022年三季度工艺检测设备共招标152台,中标207台,国产厂商中标34台,占比16.4%。

五、相关公司

经过长时间的技术研发与经验积累,中国企业在膜厚测量、缺陷检测、关键尺寸测量等领域取得了部分突破。数家国内企业脱颖而出,成功打入中芯国际、长江存储等企业的量产产线。国内企业在产品种类、工艺覆盖、算法软件、制程支持、核心零部件等方面,相比海外巨头还有较大差距。但随着国内晶圆厂积极引入国产设备验证,国内量测设备企业有望在技术上实现快速追赶,业绩预期加速兑现。

1.精测电子:全方位布局前道检测设备

武汉精测电子集团股份有限公司创立于2006年4月,是一家致力于为半导体、显示以及新能源等测试领域提供卓越产品和服务的高新技术企业。公司产业布局日趋完善,在中国的武汉、苏州、上海、香港、台湾等地,及美国、日本等国拥有众多子公司。公司于2018年进军半导体设备领域,成立上海精测半导体技术有限公司、武汉精鸿电子技术有限公司,分别布局前道、后道测试设备领域。

2022前三季度,公司半导体业务营收1.12亿,同比增长43.7%。在产品方面,膜厚、电子束均获得批量订单。OCD测量设备通过关键客户28nm工艺验证,顺利进入量产生产线并投入使用。公司半导体光学量测再发新品,向国内最大晶圆制造厂之一的华东大客户交付光学形貌量测TG300IF设备。公司在前道量测领域布局最为全面,有望充分受益国产替代,未来在半导体领域将有良好发展。

2.睿励科学仪器(中微公司):国产量测设备领跑者

睿励科学仪器(上海)有限公司是于2005年创建的合资公司(中微公司持股29.36%,是上海睿励仪器第一大股东),目前公司拥有的主要产品包括光学薄膜测量设备、光学关键尺寸测量设备、缺陷检测设备。睿励科学仪器是国内少数进入国际先进制程12英寸生产线的量测设备企业之一,是国内唯一进入三星存储芯片生产线的量测设备企业。

随着国内对量测设备的重视程度不断提高,睿励科学仪器的融资规模不断扩大。目前,睿励的膜厚测量,缺陷检测及光学关键尺寸测量设备已为国内近20家前道半导体晶圆制造客户所采用,截至2022年6月已完成多台设备出货,公司光学膜厚测量设备已应用在65/55/40/28纳米芯片生产线,并正在进行14nm工艺验证;设备支持64层3DNAND芯片的生产,并正在96层3DNAND芯片产线上进行工艺验证。

3.赛腾股份:半导体领域有力竞争者

赛腾股份成立于2001年,由3C自动化设备起家,后通过收购切入半导体量测设备赛道,在2011年成为苹果产业链的供应商后,公司发展速度逐步提高。2019年9月赛腾股份收购日本Optima株式会社67.53%股份。收购价款约合人民币16395万元,通过不断增资,截至2021年公司持有Optima约74.10%股权。Optima公司主要产品有光学晶圆缺陷检测设备(精度0.2μm),如晶圆边缘检测、晶圆正面/背面检测、宏观检测、针孔检测等。

Optima服务于一线大厂,韩国、日本、中国台湾的客户如sumco、三星、memcKorea等。国内包括新晟、中环、奕斯伟、立昂微等客户。目前Optima已覆盖国内多家领先晶圆厂,国内市场是企业未来的主要发展方向。

4.中科飞测:深耕半导体质量控制设备

中科飞测在2014年12月,由岭南晟业、中科院微电子所及苏州翌流明共同出资设立。公司是一家国内领先的高端半导体质量控制设备公司,自成立以来始终专注于检测和量测两大类集成电路专用设备的研发、生产和销售,产品主要包括无图形晶圆缺陷检测设备系列、图形晶圆缺陷检测设备系列、三维形貌量测设备系列、薄膜膜厚量测设备系列等产品,已应用于国内28nm及以上制程的集成电路制造产线。

公司依托多年在光学检测技术、大数据检测算法和自动化控制软件等领域的深耕积累和自主创新,公司得以向集成电路前道制程、先进封装等企业以及相关设备、材料厂商提供关键质量控制设备。公司产品已广泛应用在中芯国际、长江存储、士兰集科、长电科技、华天科技、通富微电等国内主流集成电路制造产线,打破在质量控制设备领域国际设备厂商对国内市场的长期垄断局面。公司2021年度实现营收3.59亿元,其中检测设备收入2.65亿元,占营收73.84%,同比增长8.18%。主营业务营收从2020年2.37亿元增长至2021年3.59亿元,同比增长51.48%。

5.东方晶源:发力突破电子束设备

东方晶源微电子科技(北京)有限公司成立于2014年,总部位于北京亦庄经济技术开发区,是一家专注于晶圆制造良率管理的公司。公司的产品有计算光刻软件、电子束缺陷检测设备、关键尺寸量测设备三种。东方晶源于2022年10月购置价值2800万元的北京土地,用于新建厂房;并于2022年11月完成新一轮股权融资,总融资额为10亿。

东方晶源的国内首台电子束缺陷检测设备出货以来,公司持续对其进行升级优化。设备开机率已由2021年6月的57%提升至2022年6月的月平均90.5%,最时期高达到98%。经过三次重大改进,晶圆产量较改进前提升250%~400%。2022年6月东方晶源研发的新一代产品SEpA-i515正式发货,经过优化升级,使SEpA-i515具有更高的TPT和更优的平台设计,设备运行效率、性能指标均有大幅提升。

东方晶源研发出国内首12寸CD-SEM,打破了国际巨头的长期垄断。入驻国内知名晶圆厂后,28nm制程产品的90nm以上关键尺寸已完成验收,更小线宽工艺验证持续推进中,设备开机率也已超过90%。2022年6月,公司新一代型号为SEpA-c410的CE-SEM发货。该设备服务于300mm硅片工艺制程,通过先进的电子束成像系统和高速硅片传输方案,搭配精准的量测算法,可实现高重复精度、高分辨率及高产能的关键尺寸量测。

6.上海优睿谱:FTIR领域拓荒者

优睿谱位于上海张江高科技园区,由长期从事半导体行业的海归博士、国内优秀的量测设备技术团队共同发起成立。公司团队核心成员均长期深耕量测设备行业,产业链相关资源积累丰厚。优睿谱的主要产品为FTIR(傅立叶变换红外光谱),首台设备型号Eos200于2022年6月正式交付客户,是国内首家实现FTIR设备交付的公司。此外,公司正在研制适用于12寸制程的Eos300系列产品。

FTIR是一款利用红外光谱经傅里叶变换进而分析各种外延层厚度以及元素浓度的测量设备,可用于测量一代半导体(硅外延片)、二代半导体(砷化镓、磷化铟衬底外延)、三代半导体(碳化硅、氮化镓外延片)、分子束外延(MBE)等的外延层厚度、光刻胶厚度及CMP抛光后的厚度,以及测定半导体制程各种元素浓度。长期以来,半导体FTIR市场被Nanometrics、赛默飞等国际设备厂商所垄断。Eos200设备采用模块化等多种创新设计,降低了客户的使用及维护成本。同时,优睿谱通过整合供应链资源,有效缩短了该设备的交付周期。

7.上海御微:掩模版晶圆检测同步发力

御微半导体生产出国内首台集成电路掩模版缺陷检测设备,关键性能已经达到国际领先水平,并获取得全球半导体协会SEMIS2认证,通过全球顶尖集成电路制造商认可并获得重复订单。此外,公司所生产的前道晶圆缺陷检测产品,成功通过知名集成电路制造商认证。公司掌握整套尖端光学设备设计、集成及成像等核心技术。研发项目团队采用光刻机技术标准,应用于半导体量检测,致力提高国产半导体量检测设备的国际竞争力。

8.埃芯半导体:X射线检测领先者

深圳埃芯半导体成立于2020年10月,公司产品涵盖光学薄膜量测、光学关键尺寸量测、X射线薄膜量测、X射线材料性能量测、X射线成分及表面污染量测等领域。其中,公司的X射线测量技术在国内居于领先地位。公司在深圳拥有1000多平米的研发及生产厂房,包括千级装配调测洁净间、百级和十级实验室洁净间。

六、参考研报

  1. 德邦证券-电子行业:国产量测设备公司逐步取得突破
  2. 财通证券-量测设备行业报告:细致检测攻坚克难,精准度量引领进步
  3. 东方证券-电子行业深度报告:前道检测与量测设备进入放量前夜,头部厂商确定性强
  4. 浙商证券-电子行业专题报告:半导体量测设备,集成电路良率控制关键,国产化提速!
  5. 光大证券-半导体量测设备行业跟踪:量测设备空间大格局好,上海精测、中科飞测等国产品牌蓄势待发
  6. 东吴证券-机械设备行业跟踪周报:建议关注半导体量测设备国产化机遇;看好逐步回暖的通用自动化板块
展开阅读全文

页面更新:2024-04-25

标签:三星   缺陷   国内   集成电路   半导体   测量   工艺   设备   市场   公司

1 2 3 4 5

上滑加载更多 ↓
推荐阅读:
友情链接:
更多:

本站资料均由网友自行发布提供,仅用于学习交流。如有版权问题,请与我联系,QQ:4156828  

© CopyRight 2008-2024 All Rights Reserved. Powered By bs178.com 闽ICP备11008920号-3
闽公网安备35020302034844号

Top