半导体先进封装深度报告:超越摩尔定律,先进封装大有可为

(报告出品方/作者:中信证券,徐涛、王子源)

先进封装:后摩尔时代提升系统性能的重要路径

封装简介:为半导体产业链后段部分,面向小型化、集成化发展

封装为半导体产业核心一环,主要目的为保护芯片。半导体封装测试处于晶圆制造过 程中的后段部分,在芯片制造完后,将晶圆进行封装测试,将通过测试的晶圆按需求及功 能加工得到芯片,属于整个 IC 产业链中技术后段的环节,封装的四大目的为保护芯片、 支撑芯片及外形、将芯片的电极和外界的电路连通、增强导热性能作用,实现规格标准化 且便于将芯片的 I/O 端口连接到部件级(系统级)的印制电路板(PCB)、玻璃基板等材料 上,以实现电路连接,确保电路正常工作。

封装外壳材料通常可分为塑料、陶瓷、金属三种。封装的材料主要有塑料、陶瓷、金 属封装三种,塑料封装的散热性最差,但塑料制作最容易、成本最低,通常使用在结构较 简单、芯片内含有 CMOS 数目较少的集成电路;陶瓷封装的散热性较佳,但是陶瓷需要 烧结成型,成本较高,通常使用在结构较复杂的芯片;而金属的散热性是最好的,但金属 会导电,因此无法直接作为封装外壳,所以目前大多先使用陶瓷或塑胶封装,并将封装外 壳上方的陶瓷或塑胶以金属外壳取代。

封装连接结构可分为内部封装以及外部封装,晶圆级封装跳脱于传统内部及外部封装 之分。封装内部是指封装内部芯片与载体(引线框架或载板)之间的连接方式,最常见方式 包括引线键合(WB, Wire bonding)、载带自动焊(TAB)、倒装封装(FC, Flip Chip),载体是 芯片裸晶和印刷电路板(PCB)传递电信号的管道,目前市场上应用最多的是引线键合(WB) 及倒装封装(FC)。而外部封装为引线框架(或载板)与印刷电路板(PCB)之间的连接方式,是 我们肉眼可见的封装外型,也是最常被提及的封装形式,例如 QFP、QFN、BGA、LGA 等。此外,部分晶圆级封装因为无需引线框架或导线载板,直接与 PCB 板连接,因此跳 脱于传统内部及外部封装之分。


封装效果以封装效率、引脚数衡量、散热程度为三大衡量指标。封装效果的主要评价 标准以封装效率、引脚数、散热性能为主。在满足封装基本要求的前提下,封装效果评价 主要基于以下三点: 1) 封装效率。芯片面积/封装面积,尽量接近 1:1 为宜,缩小体积为目前封装发 展方向,晶圆级封装能够做到接近 1:1 的比例; 2) 引脚数。每单位(mm2 )引脚数越多(I/O 越多),封装程度越高级,但是工艺难 度也相应增加,引脚数多的封装通常用在高端的数字芯片封装中; 3) 散热程度。引脚数越多,所产生的热能越多;封装体积越小,散热效能越低, 因此如何在封装效率、引脚数、散热程度取得平衡,成为封装评价关键的一 点。

先进封装:摩尔定律放缓且成本提升,集成化封装为提升系统性能另一发 展主轴

摩尔定律迭代速度放缓,从系统应用出发,整体性能提升依靠先进封装技术。在硅基 半导体的技术演进上,每 18 -24 个月晶体管的数量每年翻倍,带来芯片性能提升一倍,或 成本下降一半,这一规律称为“摩尔定律”。先进制程带来的成本优势和先发优势,使得半 导体厂商一直致力于实现特征尺寸的缩小,而如今,随着延续摩尔定律所需新技术研发门 槛提高、研发周期拉长,制程工艺迭代需花费更长时间,且成本提升明显。业界认为,系 统异质整合是提升系统性能,降低成本的关键技术之一,需要依赖先进封装技术。以 CPU 为例,从 CPU 处理器的性能发展驱动力来看,近十余年,单核性能提升的效果边际降低, 增加处理器核心数量尤为关键。由于单颗芯片面积越大,良率越低,相应成本越高,先进 封装成为低成本增加核心数量的重要方式。以 AMD 的 chiplets 架构举例,可以设计成多 晶粒架构,将处理器的多个处理核心制造在多个晶粒里,再封装整合成单一 CPU,取代原 本将所有核心在单一芯片统一制造的方式,可大大降低成本。再如苹果于 2022 年发布的 M1 Ultra 芯片是由两颗 M1 Max 芯片通过台积电 InFO-LSI 技术封装在一起,实现了芯片 性能的翻倍。先进封装技术能解决异质高密度的集成,运用封装技术继续提升整体性能。


封装朝小型化、多引脚、高集成目标持续演进。封装历史发展大概分为五阶段,目前 市场主流封装形式仍以第三阶段为主流,BGA 和 CSP 等主要封装形式进入大规模生产阶 段。封装演变历史朝小型化、I/O 数量增加(多引脚)、集成化三向发展。以小型化为例, 过去 DIP 封装后的体积是芯片的 100 倍大,发展至 CSP 仅芯片的 1.2 倍或更小;I/O 数量 也从过去 6 个引脚增加到数千个以上。先进封装位于整个封装技术发展的第四阶段及第五 阶段,I/O 数量多、芯片相对小、高度集成化为先进封装特色。

先进封装以内部封装工艺的先进性为评判标准,并以内部连接有无基板可分两大类。 先进封装的划分点在于工艺以及封装技术的先进性,一般而言,内部封装为引线框架(WB) 的封装不被归类为先进封装,而内部采用倒装(FC)、晶圆级(WL)等先进技术的封装则可以 称为先进封装,先进封装以内部连接有无载体(基板)可一分为二进行划分: 1) 有载体(基板型):内部封装需要依靠基板、引线框架或中介层(Interposer),主要 内部互连为倒装封装(FC),可以分为单芯片或者多芯片封装,多芯片封装会在中 介层(或基板)之上有多个芯片并排或者堆叠,形成 2.5D/3D 结构,基板之下的外 部封装包括 BGA/LGA、CSP 等,封装由内外部封装结合而成,目前业界最具代 表性且最广为使用的组合包括 FCBGA(倒装 BGA)、Embedded SiP、2.5D/3D Integration。 2) 无载体(晶圆级):不需要基板、引线框架或中介层(Interposer),因此无内外部封 装之分,以晶圆级封装为代表,运用重布线层(RDL)与凸块(Bumping)等作为 I/O 绕线手段,再使用倒放的方式与 PCB 板直接连接,封装厚度比有载体变得更薄。 晶圆级封装分为扇入型(Fan-in)跟扇出型(Fan-out),而扇出型又可以延伸出 3D FO 封装,晶圆级封装为目前封装技术中最先进的技术类别。 先进封装以缩小尺寸、系统性集成、提高 I/O 数量、提高散热性能为发展主轴,可以 包括单芯片和多芯片,倒装封装以及晶圆级封装被广为使用,再搭配互连技术(TSV, Bump 等)的技术能力提升,推动封装的进步,内外部封装可以搭配组合成不同的高性能封装产品。

下游应用:移动设备、多引脚、高性能产品为主要需求

晶圆级封装多用在小型移动设备,基板型多用在引脚多且无体积限制的产品,多芯片 又可以被归类为 SiP 封装。先进封装可以由单芯片、多芯片、晶圆级、基板级组合而成, 晶圆级和基板级的不同源自于制程上的差异,晶圆级封装用到芯片制造的工艺,需要淀积、 光刻、去胶、刻蚀等流程,相较于基板级封装,晶圆级封装能够有更小的封装体积,因此 多用在小型移动设备,而基板级多用在高引脚且无体积限制的产品。一般而言,多芯片封 装都在封装内部自成一个子系统,因此多芯片又可以被归类为 SiP (System in Package, 系统级封装),SiP 封装关注在封装内的系统实现,不管先进性与否,只要是能自成系统的 都可以称为 SiP,而先进封装领域的 SiP 包括 2.5D/3D FO、Embedded、 2.5D/3D Integration 以及技术比较先进的异质异构封装(比如苹果手表 S 系列芯片)等。


1. 单芯片基板型

FCBGA 为 FC 与 BGA 合成,多用在高引脚数量和高性能 ASIC(专用集成电路)。 FCBGA 顾名思义就是 FC 倒装技术与 BGA 技术结合的产物。这种封装使用焊球作为底部 引脚来连接基板与 PCB 的同时,芯片通过 FC 技术与基板实现互连。该技术主要特点表现 在以下三个方面:(1)优异的电性效能,同时可以减少组件互连间的损耗及电感,降低电 磁干扰的问题,并承受较高的频率;(2)提高 I/O 的密度,提高使用效率,有效缩小基板 面积缩小 30%至 60%,I/O 可以支持 600-1200 个以上;(3)散热性好,可提高芯片在高 速运行时的稳定性。FCBGA 大量应用在高引脚数量和高性能 ASIC,大尺寸 FCBGA 能 提供满足互联网、工作站处理器和高带宽系统通讯设备需求的封装解决方案,目前 FCBGA 常见应用包括 CPU、图形加速芯片、服务器等,其改良版 FCLGA 可以支持数千个 I/O, 最典型的应用就是英特尔 CPU 封装。

2. 多芯片基板型

Embedded SiP 适合低功耗及高温环境,多用在电源管理芯片。Embedded SiP 封装 是将 Die 嵌入在基板内,不同于常见的形式是放在基板之上,嵌入式的好处在于芯片之间 连接距离变近,能够降低功耗损失,此外这种封装方式耐热性能也较好,电源管理能力出 众,因此被大量应用在电源管理芯片、传感器、影像模组、微控制器等需要低功耗及高温 环境运作的产品。


3. 单芯片晶圆型

晶圆级封装(WLP)不需任何中介层,分扇出及扇入型两种,大量用在小型便携移动设 备。晶圆级封装分为扇入型(Fan-in)跟扇出型(Fan-out),扇入的原理就是在原芯片尺寸内 部将所需要的 I/O 口排列完成,封装尺寸基本等于芯片尺寸,I/O 数量一般小于 400,大量 运用在小型便携产品,应用包括电源管理、高端射频芯片,FPGA 等。而当芯片的尺寸不 足以放下所有 I/O 接口的时候,就需要扇出型封装,在芯片范围外利用 RDL 做连接,以 获取更多的引脚数,在环氧树脂(EMC)中嵌入每个裸片时,每个裸片间的空隙有一个额 外的 I/O 连接点,这样 I/O 数会更高,使互连密度最大化,属于人为扩大芯片的封装尺寸。 扇出型封装多运用在高速,低功耗和高频率的小型移动设备。

扇出型封装制程主要有三种,大体与扇入型封装差不多,主要差别在于前期会将芯片 取下重组晶圆。扇出型封装为扇入型封装的改良,其制程与扇入型封装基本一致,不同的 是其并不是拿原始硅片去做,而是会将芯片切割下来后重组晶圆,原因是要制造扇出区的 空间出来,扇出型封装有三种主要制造工艺,第一是芯片先装/面朝下(mold-first / face-down)、第二是芯片先装/面朝上(mold-first/face-up)和第三芯片后装(RDL-first)。 以 mold-first/face-down 工艺流程为例,封装厂将晶圆厂的原始硅片进行芯片切割,最后 通过芯片贴装系统,将芯片放置在临时载板上。环氧模塑料被塑封在芯片和载板上,形成 重构晶圆,然后在重构晶圆内形成 RDL。在 RDL 制造流程中,先在衬底上沉积一层铜种 子层,再在该结构上涂布一层光刻胶,然后利用光刻设备将其图案化,最后电镀系统将铜 金属化层沉积其中,形成最终的 RDL。基本上封装工序与扇入型封装大同小异。

4. 多芯片晶圆型

2.5D/3D Fan-out 应用在高端移动设备终端。 2.5D/3D Fan-out 由扇出型晶圆级封装 发展而来,归属扇出型封装一类,其 I/O 数可高达数千个,是目前最先进的封装技术,被 大量运用在应用在移动设备终端,包括用于 CPU、GPU、电源管理芯片、射频收发器芯 片、基带处理器、高端网络系统等多种高端应用领域,晶圆代工厂进入 2.5D/3D Fan-out 且引领整个行业。


5. SiP 封装

SiP 封装涵盖广,关注系统在封装内的实现,大量运用在 5G 应用场景。系统级封装 (SiP, System in-a-package)为 MCM 封装的演进,相较于 MCM 主要为芯片组成,SiP 可 以由无源器件、光电组件等不同功能的电子组件组进行排列组装,形成一个系统或者子系 统,关注系统在封装内的实现。上述多芯片的封装皆可属于 SiP 封装,此外有些 SiP 封装 因为工艺较先进,虽只是将有源和无源器件集成,但可以被归为先进封装,如苹果手表 S系列芯片。SiP 封装概念很广,只要是系统级封装都可以称之,包含 2D-3D 结构,现在被 大量应用在穿戴式装置(如智能手表、蓝牙耳机等)、5G 毫米波(mmWave)天线封装模 组(AiP)等应用。

市场空间:预计先进封装五年后超 500 亿美元,倒装封装为主要应用

2020 年全球/中国封测市场规模分别约 660 亿美元/2510 亿元,预计 2020~2025 年 CAGR 分别约 5%、10%。根据 Yole 数据,全球封装市场规模稳步增长,2020 年全球市 场规模 660 亿美元,2025 年将提升到 850 亿美元左右,对应 CAGR 达 5.2%。中国作为 全球最大的芯片消费国,市场对于封测的需求也日益增加,据中国半导体行业协会, 2020/2021 年市场规模分别为 2510/2763 亿元,2013-2021 年 CAGR 为 12.2%;据前瞻 产业研究员预测,2026 年有望提升至 4419 亿元,2021-2026 年 CAGR 约 9.9%,增速远 快于全球,原因一是中国半导体市场需求蓬勃,二是受益于国产替代的加速进行,三是国 内封测厂积极扩厂使封装量产能力增加,而刺激国内封测收入激增。

Flip-chip 倒装封装牢牢占据先进封装行业收入顶点,3D 堆叠/嵌入式封装/晶圆级扇 出成长幅度最大。先进封测市场规模以互连技术划分,目前以倒装封装(Flip-chip)最大, 其次是晶圆级扇入型 Fan-in 和晶圆级扇出型 Fan-out 封装。基板类先进封装基本上都需要 Flip-chip 倒装进行内部封装,因此 Flip-chip 占据超过 80%的先进封装市场份额,许多没 体积限制又需要高引脚的产品多采用这种方式;而晶圆级封装相对于整体先进封装市场规 模还较小,主要是因为制程较领先且各厂商还在积极放量中,目前应用多在高端的小型体 积封装。若从成长幅度来看,3D 堆叠/嵌入式封装/晶圆级扇出型为发展最快速的前三大应 用市场,Yole 预测 2019-2025 CAGR 分别为 21.3%/18%/16%,此外 TSV 作为 2.5D/3D 立体封装会大量使用到的互连技术,Yole 预测 2019-2025 CAGR 为 29%,增长幅度大幅 领先其他技术。


竞争格局:IDM + Foundry 切入先进封装,OSAT 头部集中

封测行业集中度高, 中国大陆、中国台湾、美国占据全球近 90%份额。由于厂商需要 长期的大额资本开支,全球委外封装业务(OSAT)有较为集中的特性。大量中小型封测厂商 被并购,行业集中度提升。近几年行业发生最大的一起并购案,是全球最大的封测厂日月 光收购的全球第四大封测厂的矽品,并购金额高达 40 亿美元。在行业龙头割据下,封测 产业从地理位置上也呈现高度集中的态势,2020 年中国台湾、中国大陆、美国市占率分 别为 52%/21%/15%,合计占据 88%的市场份额。

封测厂客户集中度高,营收波动较大。因为各委外封装厂均有自己擅长的主要封测领 域,因此封测厂的客户比较集中,从营收来看,2021 年大多数大型的封测厂前五大营收 占比集中在 40%以上,也有许多高于 60%,因此多数公司营收会出现较依赖大型客户的 情形,受大客户订单波动影响概率高,但相对的因为大客户的集中,销售费用、员工差旅 费用、业务招待、应收账款催收等支出能有效降低,在成本及规模效应明显的封测行业, 支出的控制也是竞争优势之一。

晶圆制造巨头触角伸向后端封装,打造从制造到封装的一体化工艺程序,OSAT 在压 力下集中度或将继续提升。随着智能手机的发展、5G 普及、自动驾驶兴起、高性能运算 需求大增,对于芯片要求小体积、高性能、多功能整合、低功耗设计,伴随着先进制程的 物理瓶颈,除了传统委外封测代工厂(OSAT)外,晶圆代工厂以及 IDM 公司也都相继成立 自己的封装厂,开发高端的封装技术,包括台积电、英特尔、三星等企业都已展开布局多 年,比如台积电目前已有四座先进芯片封装厂,持续加码投资人民币 716 亿元于台湾苗栗 兴建第五座封装厂;三星也有数座封装厂、晶圆代工厂将触角延伸至后端封测领域,可推 断出未来十年先进封装将扮演半导体行业重要角色之一。先进封装的规模在整体封装市场 比重不断上升,在后摩尔时代,封装行业变成兵家必争之地,未来将会演变成晶圆制造厂 有自己从制造到封装的一体化工艺程序,而 OSAT 则是强者恒强,有望更加集中。


三大先进封装:SiP、晶圆级封装、2.5D/3D 封装

SiP:5G/物联网需求增长,2025 年有望达 188 亿美元,OSAT 异质异构 把控市场

从单片集成到异质异构集成,SiP 料将超过 SoC 成为电路集成化主要解决方案。芯 片集成化是行业明确发展趋势,将电子系统各方面功能高度集成主要有两条技术路径,一 是 SoC 技术(System on Chip),实质为单片集成,通过芯片设计在制造环节将多个组件 集成在一个芯片上,增加电路传递效能进而降低功耗,且节省体积,缺点是工艺统一、成 本高昂,多应用在高单价且运算功能要求高的 HPC、CPU、GPU 等;二是 SiP 技术(System in Package),实质可以实现异质异构集成,在封测端将多个芯片封装成一个系统,与 SoC 相比,SiP 具有开发周期短、成本较低的优势,可以集成不同工艺芯片,降低成本,更加 多样化。基于成本及应用考虑,SiP 实现的异质异构集成,在应用层面比 SoC 更广阔。

2025 年 SiP 封装规模有望达 188 亿美元,以倒装 Flip-chip SiP 封装形式为主。SiP 封装概念较广,只要是系统级封装都可以称之,包含 2D-3D 结构,SiP 封装在未来会飞快 的增长。根据 Yole 数据,在先进封装领域,2019 年 SiP 封装市场规模约为 134 亿美元, Yole 预计 2025 将达 188 亿美元,对应 CAGR 达 11%,成长迅速,其中 Flip-chip 技术占 SiP 封装市场规模的 91%,为最大的应用封装技术,其次为扇出型晶圆级封装,占比约 8.5%, 最后为嵌入式封装,占比仅 0.5%。Yole 预计 2025 年 Flip-chip SiP/扇出型 SiP/嵌入式 SiP 市场规模分别为 171/13.64 /3.15 亿美元。

5G 手机出货量增长、物联网万物互联应用增加,刺激 SiP 封装需求。消费电子以智 能手机为主要市场,射频芯片(RF)是智能手机的核心芯片之一。Strategy Analytics 预测, 全球 5G手机出货量将在 2024年来到 8.55亿台,而伴随而来的就是大量的射频芯片需求; Yole 预测 2025 年全球射频芯片的规模将会到 254 亿美元,相较于 2020 年 167 亿美元, CAGR 高达 8.7%。除了智能手机及 5G 基站等射频类有 SiP 封装需求,物联网万物互联 的应用场景也激发需求增加,包括家电、穿戴式设备、传感器、汽车、智能家居等应用都 会采用。


SiP 封装发展较成熟但仍具挑战,各家厂商群雄逐鹿。SiP 封装于未来将有广阔市场 空间,各大 OSAT 已布局多年,目前 SiP 在先进封装领域已经相对成熟,但在异质整合的 应用上仍有部分困难点,以射频模组应用目前的两个挑战举例:(1)兼容的射频器件数量 大幅度提升,导致系统连接变得更加复杂,各个功能芯片、被动元件、基板乃至注塑材料 之间,会产生不同程度的干扰,假如同时将 Sub-6GHz 与毫米波天线模组兼容进去,又会 更复杂,因此平衡各个模组间的运行是第一大挑战;(2)多器件的高密度摆放、芯片堆叠 会造成制造问题,比如芯片与基板的连接材料、塑封原材料都要求低损耗特性等功能,又 增加困难度。SiP 封装为各家封装厂商的投入重点,也在寻找各自方法解决封装难点。

异质异构 SiP 封装由 OSAT 把持,晶圆代工厂布局意图不大,国内厂商与国际技术同 一水平。OSAT 厂相对晶圆代工厂的 SiP 封装优势在于异质异构的先进封装,比如苹果手 表 S 系列的高密度整合各种有源及无源组件,相似产品多应用在射频、基站、车用电子等 领域的多种组件集成,晶圆代工厂对这块领域的布局意图不大,更多应用在高性能计算、 高端传感等工艺最难的高密度产品,包括晶圆级封装、2.5D/3D 产品等,注重自身高端客 户需求以及高毛利产品,因此对于封测厂(OSAT)来说,异质异构 SiP 封装是一个稳定的增 量市场。从技术上来看,国内厂商异质异构 SiP 封装技术基本与国际保持同一水平。根据 Yole 数据,2020 年 OSAT 占据 60%的 SiP 市场份额,而 IDM 和晶圆代工厂分别占据 25% 和 14%。


晶圆级封装:2025 年市场规模有望超 55 亿美元,对应 5 年 CAGR 近 20%

2025 年晶圆级封装市场规模有望成长至 55.37 亿美元,对应 2020-2025 年 CAGR 达 12.6%。其中,扇出型晶圆级封装成长最快,对应 2020-2025 年 CAGR 达 19.7%。晶圆 级封装为先进封装重点发展之一,因为封装后体积小,所以大量运用在移动设备领域, Fan-in 大量运用在手机、通信和汽车领域,引脚数相对较少的芯片类型,例如电源管理 IC、 射频组件、传感器等,Fan-out 大量运用在引脚数较多的高性能芯片,如高性能计算、应 用处理器、CPU/GPU、射频 AiP 等,考虑引脚数差别,Fan-out 的应用层级比 Fan-in 更高。根据 Yole 数据,2020 年晶圆级封装市场规模为 30.64 亿美元,Yole 预计 2025 年成 长至 55.37 亿美元,对应 5 年 CAGR 为 12.6%;其中,扇出型晶圆级增速最快,市场规 模有望从 2020 年 12.37 亿美元成长至 2025 年 30.46 亿美元,对应 2020-2025 年 CAGR 为 19.7%,高于整体平均增速。

扇入型封装 OSAT 仍是市场主要玩家,扇出型封装 Foundry 有望成为市场主流。从 市场玩家来看,目前扇入型封装由封测厂(OSAT)把持,未来料也将延续这个趋势;而扇出 型封装因为 I/O 数量更多且能随依照客户 I/O 需求定制化,高弹性使得应用场景较广,所 以竞争者较多,包含封测厂(OSAT)、晶圆代工厂(Foundry)、IDM 公司都相继投入其中, Yole 认为传统 OSAT 厂在扇出型封装将会受到较大冲击,预计到 2024 年,晶圆代工厂商 将会占据 71%的市场,而 OSAT 的市场份额将会降至 19%。

扇入型晶圆级封装制程节点多用在高于 55nm 的晶圆,五大封测厂实力相近。扇入型 晶圆级封装因为体积受限,几乎都用在体积小且制程节点高于 55nm 的产品,比如 TWS 蓝牙耳机等。扇入型晶圆级封装主要结构为重布层(RDL)、金属球(多为锡球),因此各公司 技术节点往往从 RDL(重布层)的最小线宽(l/w)、金属球直径以及金属球间距三个数据做为 评判指标。从产业的技术实力来看,扇入型晶圆级封装目前以头部 OSAT 为引领,前五大 公司重布层最小线宽都能达到 10/10um 含以下,国内厂商长电科技和通富微电与国际厂商 的技术实力为国际一线,华天科技为国内前列。


扇出型晶圆级封装使用变化多,台积电、三星领先业界。扇出型晶圆级封装因为使用 较为弹性,应用广泛,相较于扇入型晶圆级封装,可以应用在制程节点较先进的芯片,因 为此类芯片通常需要大量 I/O 连接才能达到使用效能,所以成为解决方案;此外扇出封装 可以实现 2D-3D 的封装方式,因为使用方面多样化,吸引 Foundry 和 IDM 厂商进入。扇 出型晶圆级封装的技术节点可以从 RDL(重布层)最小线宽(l/w)判断,晶圆级封装因 为涉及前道封装延续,目前台积电、三星的扇出型封技术最为先进。

2.5D/3D:2025 年市场规模有望达 118 亿美元,晶圆代工厂优势明显,台 积电/英特尔引领市场

2.5D/3D 封装属于高密度先进封装(HDAP)与系统级封装(SiP)结合的子集,大 量运用在集成度高的高端产品。2.5D 封装及 3D 封装为 SiP 概念的子集,专注于多芯片的 堆叠和并列技术,从应用方面来看,多应用在集成度较高的产品,包括传感器产品 (MEMS/CIS/Sensor)、高性能计算产品(CPU/GPU/HPC)、网通设备等,从制造端看, 2.5D/3D 封装可以由有中介层(interposer)的一般封装、以及无中介层的扇出型晶圆级实现。

预计 2.5D/3D 封装 2025 年全球营收规模 118.2 亿美元,对应 CAGR(2021-2025) 达 15.7%,芯片互连方式为立体封装关键。2.近年来 5D/3D 封装规模成长迅速。Yole 预 测,2.5D/3D 封装出货量从 2021 的 30.8 亿件增长至 2025 年的 50.3 亿件,对应 CAGR 达 13.1%;全球营收规模将从 2021 年的 66.1 亿美元增加至 2025 年的 118.2 亿美元,对 应 CAGR 高达 15.7%,发展潜力惊人。2.5D/3D 封装专注于立体封装技术,因此芯片的互 连成为其关键问题,各大厂商均是在解决立体结构的两个对象如何完成物理连接,才能够 制造出体积小、集成度高、速度快、功耗小的封装产品。

使用中介层、中介层嵌入在基板内、使用微凸块(μbump)的直接垂直堆叠、扇出 型晶圆级封装为 2.5D/3D 封装四大主要连接方式。在 2.5D/3D 封装中,分为晶圆级和基板 型,基板型又可划分成三种方法。第一种方法为使用中介层,常见的有 TSV 中介层,将硅 中介层置于所有互连的裸晶 die 下面,再通过基板封装铺设走线,这种方法为三种方法中 最方便的;第二种方法为将中介层嵌入在基板中,仅用于一个特定的 die 连接到另一个 die, 这种方法使用局部硅互连和重布层整合,执行效率比第一种中介层方法更为迅速,而且中 介层嵌入后体积能缩小;第三个是 die 对 die 使用微凸块和 TSV 直接垂直堆叠,不需要中 介层当媒介,也就 3D 堆叠技术,为三者中技术要求最高的一种,同时传输数度也最快。 而晶圆级则是用扇出型封装实现,不需要基板(Substrate)做连接,而是用 RDL(重布层)直 接做互连媒介。


1. 台积电

台积电 3D Fabric 平台强力进击三维封装,前段封装占据绝对优势。台积电在 2020 年 8 月将旗下 3DIC 技术平台并命名为 3D Fabric,包括 SoIC、InFO、CoWoS 三大封 装技术,台积电跨足前道封装(SoIC)和后道封装(CoWoS, InFO),前道封装是在晶圆上, 将同质或异构小芯片都整合到一个类似 SoC 的芯片中,让芯片有更小的面积和更薄的外 形,芯片就像普通的 SoC 一样,但嵌入了所需的异质整合功能,例如制作 3D TSV 连接 通道,这种技术在设计阶段就要考虑并协同设计,由于本质是在做一颗 SoC 芯片,因此 只有晶圆厂可以做,尤其是需要先进制程产品,台积电具绝对优势。后道封装为将前道封 装完成的芯片搭配立体封装技术,像是台积电的 CoWoS 和 InFO,而后道封装技术也是 其他封测厂商积极跨入的领域,晶圆代工厂不会独占,成为行业竞争最激烈的一块领域。

1) CoWoS :2011 年推出 2012 年量产,全称 Chip on Wafer on Substrate,有 CoWoS-S、 CoWoS-R、CoWoS-L 三种,S 为最常见的硅中介层、R 为 RDL(重布层)、L 为 LSI(嵌入 式)。CoWoS-S 为最早开发的系列,芯片通过 Chip on Wafer(CoW)的封装制程连接至硅 晶圆,再把 CoW 芯片与基板(Substrate)连接,整合成 CoWoS。CoWoS-R 为扇出型晶圆 级封装,使用重布层连接。CoWoS-L 也是扇出型晶圆级,为 CoWoS-S 和 InFO 技术的结 合,使用局部硅互连嵌入在重布层进行整合。CoWoS 系列为台积电历史最悠久的技术, 适用高速运算产品。

2) InFO:2016 年推出,全称为 Integrated Fan-Out,包括 InFO_oS、InFO_PoP 等。InFO-oS 为扇出型晶圆级封装,与 CoWoS-L 相似,使用局部硅互连将多个 InFO die 连接在一起,并且嵌入在重布层内,InFO 系列封装适用小芯片的消费性产品封装。 InFO_PoP 为全球第一个 3D 扇出晶圆级封装,上方通常为 DRAM 互连至基板,再通过凸 块与下方扇出型晶圆级封装的处理器进行连接,形成立体结构,适用移动装置。


3) SoIC :2019 年推出,2021 年量产,全称为 System of Integrated chips,包含 CoW(Chip on Wafer)/WoW(Wafer on Wafer)两种方案,为目前全球最领先的 3D IC 内部 堆叠互连技术之一,CoW 为单芯片去做互连,WoW 直接用整块晶圆去做互连,SoIC 主 要实现多个 die 堆叠的 3D 构造块,在垂直堆叠的芯片之间的每平方毫米空间能够实现约 10,000 个互连,此外超越了过去的中介层或芯片堆叠的实现方式,允许在不使用任何微凸 点的情况下堆叠硅芯片,直接将硅的金属层对准并键合到硅芯片上(类似 Intel 的 Hybrid Bonding),能对 10 纳米以下的制程进行晶圆级的接合技术,适合高频宽、高效率的逻辑 与存储的堆叠,且不但能用于主动器件之间的堆叠,还能实现主动器件到被动器件的堆叠。 相较于传统 3D IC,SoIC 的 Bump/bond 密度增加 16 倍,Bump/bond 间距缩小 0.23 倍, 为目前最先进的堆叠互连技术之一。

2. 英特尔

英特尔 2.5D/3D 应用时间晚于台积电,产品定位以封装自家产品为主。英特尔也在积 极布局 2.5D/3D 封装领域,其封装产品量产时间晚于台积电,其 2.5D EMIB 技术可以对 标台积电的 CoWoS 技术,3D Foveros 技术可以对标台积电的 InFO 技术,根据英特尔目 前的计划,其封装技术将用在自家系列的产品上,因此预计对于市场造成的冲击影响较小。

1) EMIB:2017 年发布,全称为 Embedded Multi-Die Interconnect Bridge,属于 2.5D 技术(横向),使用嵌入在封装基板内、用来连接裸晶的硅桥(Silicon Bridge) ,与 台积电 CoWoS-L 有异曲同工之妙,但台积电使用的是扇出型晶圆级制程 RDL(重布 层),而英特尔使用的是基板,EMIB 的好处是提供高带宽、低功耗的连接,坏处是 不利裸晶多且互连要求高的产品,于 2019 年已经开始量产,目前已出货超过 200 万个以 EMIB 封装的芯片。

2) Foveros:2018 年推出,对标台积电的 InFO,属于 3D 技术(纵向),最下边是封 装基底,基底之上安放一个底层芯片(Bottom Chip),起到主动中介层(Active Interposer)的作用,底层芯片之上就可以放置各种不同的芯片或模块,两者用面对 面的方式连接(Face-to-Face bonding),而在底层芯片里有 TSV 3D 硅穿孔,负责连 通上下的焊料凸起(Solder Bump) ,让上层芯片和模块与系统其他部分连通,最后 再将底层芯片与基板连接,完成内部封装。

3) ODI:2019 年推出,全称为 Omni-Directional Interconnect 技术,为封装中小芯片 之间的全方位互连,存在于基板与芯片之间,可以通过远大于传统封装技术的密度 来进行埋线和布置连接针脚,从而在保证芯片在供电时实现更高的互联带宽,藉由 ODI,顶部的芯片可以像 EMIB 一样,与其他小芯片进行水平通信,还可以像 Foveros 一样,通过 TSV 与下方的底部裸片进行垂直通信,ODI 有发展出两种类 型。ODI 直接从封装基板向顶部裸片供电,比传统硅通孔更大、电阻更低。


3. 三星

三星 2.5D/3D 技术发布时间晚于台积电和英特尔,应用产品仍较少。三星 2019 年成 立 SAFE 专注于先进封装技术开发,目前旗下有 2.5D 的 I-cube 对标台积电 CoWoS 和英 特尔 EMIB、3D X-cube 对标台积电 InFO 和英特尔 Foveros。自 2016 年被台积电抢走苹 果处理器订单后,三星开始在先进封装领域大力布局,目前对应的产品推出时间都晚于台 积电和英特尔,处于落后状态,应用产品仍少。但在 3D IC 方面,三星具有优势,因为三 星同时拥有存储器 DRAM 和处理器的制造技术,而台积电并没有先进 DRAM 技术,因此在 3D 异质整合上三星或具优势。

1) I-Cube:2018 年推出,全称为 Interposer-Cube,属于 2.5D 封装技术,对标台积 电 CoWoS 和英特尔 EMIB,有需要基板的硅中介层、及使用扇出型晶圆级做重布 线层两种方案,分别可以对应 CoWoS-S 和 CoWoS-R,目前量产较少,百度 AI 昆 仑芯片即是采用 I-Cube 封装代表产品。

2) X-Cube:2020 年推出,全称为 eXtended-Cube,属于 3D 封装技术,对标台积电 InFO 和英特尔 Foveros,应用 TSV 硅穿孔实现堆叠,目前能够做到将 SRAM 层堆 叠在逻辑层之上,制程为 EUV 工艺,X-Cube 已经在自家的 7nm 和 5nm 制程上面 通过了验证。

4. 日月光

日月光 2.5D 封装技术先驱,3D IC 封装持续开发测试阶段。日月光为全球最大封测 厂,技术最领先及产品面最广,为 2.5D/3D 封装技术先驱之一,研发时间超过十多年,推 出了世界上第一个配备高带宽存储器(HBM)的 2.5D IC 封装的批量生产。目前公司 2.5D 封装实现方式为 TSV 中介层连接以及用扇出型晶圆级封装的重布线连接,2.5D 技术基本 上与台积电 CoWoS、英特尔 EMIB、三星 I-Cube 为同一层级技术实现。3D 封装主要透过 扇出型封装堆叠完成,对标台积电 InFO-PoP。日月光 2015 年就开始量产 2.5D 封装,超 威、辉达等均为第一批客户,目前正在积极开发 3D IC 堆叠技术,日月光为 OSAT 中技术 最顶尖的厂商之一。

5. 长电科技

长电与日月光实力相近,封装可区分三大类。长电科技的 2.5D/3D 封装可以依结构分 为三大类,封装等级、晶圆级等级、硅互连等级。封装等级为需要基板和引线框架的封装, 系列包含堆叠芯片封装 Stacked Die(SD)、层叠封装 PoP、封装内封装 PiP;晶圆级等级 为晶圆级封装,运用 RDL 重布线进行互连;但硅互连尚未实现。长电持续朝向类似台积 电 SoIC 的 3D IC 发展,不需中介层也不需载版。长电科技的所提供的技术与日月光相近。

OSAT 在 2.5D/3D 封装优势不大,但仍具发展空间。从上面的技术实现来看,2.5D/3D 封装晶圆制造厂领先,封测厂优势不大,前段涉及晶圆制造部分封测厂无法完成,中后段 面临晶圆代工厂与 IDM 的压力。但台积电、英特尔、三星目前的发展定位均聚焦于自身客 户的产品封装,因此封测厂在规模日益增加的 2.5D/3D 封装市场仍是有巨大发展空间,国 内厂商目前 2.5D/3D 封装仍有待精进,最具代表性厂商的为长电科技。

国内先进封装:长电科技为首,逐步走向市场前沿

市场规模:2020 年规模超 900 亿元,国产替代加速

全球前十大芯片买家中,国内厂商占五席,未来将带动先进封装产能向国内转移。根 据集微咨询统计,2020 年中国先进封装营收规模 903 亿元,占整体封装营收比重 36%。 根据 Yole 统计,2020 年全球先进封装市场规模 304 亿美元,占整体封装比重 45%,我国 与全球水平仍存在一定差距。根据 Gartner,2021 年全球芯片十大买家里,中国企业占 5 家,包括联想、步步高、小米、华为、鸿海,合计占全球总购买量的 15.4%,金额高达 901 亿美元。我们认为,国内终端厂商芯片需求量大,未来仍有望持续增长;在国内芯片设计、 产能、制造工艺逐渐成熟的趋势下,先进封装有望紧跟国产替代浪潮,市场潜力巨大。


重点公司:聚焦四大封测厂商,龙头长电科技技术领先

对标行业龙头,国内四大封测厂后发优势显著。近年来,国内封测企业通过外延式扩 张获得了良好的产业竞争力,大陆封装企业依托下游市场的带动,在营收增速方面显着优 于海内外同类企业。从毛利率水平看,由于封测行业技术水平演进不显著影响毛利率,因 此封测行业龙头企业的毛利率稳定在 16%左右,与毛利水平分化明显的晶圆代工业相比, 技术不是绝对壁垒,后发企业同样有机会分享蛋糕。长电科技、通富微电、华天科技前三 家国内头部封测厂毛利率水平都比较稳定。长电科技随着客户关系的稳定、经营的持续优 化,毛利率水平逐步回升,2020/2021/22Q1 分别为 15.5%、18.4%、18.9%,目前毛利率 已提升至国内三大封测厂首位。晶方科技作为国内细分领域封测厂商代表,虽然营收体量 低于三大封测厂,但增速较快,得益于在 CMOS 影像传感器晶圆级封装的优势,毛利率 水平约 50%,远高于行业平均水准。

1. 长电科技

先进技术覆盖广,与中芯国际关系紧密,为国内先进封装领先厂商。国内第一大和全 球第三大的封测厂,2021 年公司先进封装产品销量占比 44%,营收占比超 60%,公司预 计营收占比将持续提升。公司先进封装技术包括 FC、TSV、SiP、2.5D/3D、晶圆级等产 品,为国内先进封装最突出的厂商,产品聚焦 5G 通信类、高性能计算、消费类、物联网、 汽车电子和工业等重要领域。长电科技在 SiP 方面大力布局,旗下多个厂均有相关业务, 2.5D/3D 封装亦为世界一流的水准,产品覆盖与日月光旗鼓相当。2022 年公司推动技术开 发 5 年规划,面向 5G/6G 射频高密度,超大规模高密度 QFN 封装,2.5D/3D chiplet,高 密度多叠加存储技术等先进技术开展前瞻性研发,公司计划 2022 年 Capex 为 60 亿元, 其中 70%投资先进封装,并重点聚焦 5G、汽车电子、大数据存储等热门封装领域。此外, 长电科技与中芯国际合作紧密,中芯国际为长电科技股东之一,在需要前道工艺辅助的 2.5D/3D 封装技术,双方有望在半导体产品的制造和封测环节协同合作,增强长电科技较 其他 OSAT 厂的差异化竞争优势,进而提升其市场地位,我们持续看好长电科技在国内先 进封测的领先优势。

2. 通富微电

通富微电以 CPU、GPU 高端封测为主,倒装封装为主要应用技术。通富微电是国内 第二大和全球第五大的封测厂,公司的六大生产基地有四个面向先进封装,目前先进封装 营收占比已超 70%。通富微电的封装主要应用于 CPU/GPU,2015 年并购 AMD 苏州和滨城封测厂获得高脚数 FC(倒装)技术,包括 FCBGA、FCPGA、FCLGA、MCM,目前 公司已具备 Chiplet 封装的大规模生产能力,并能够支持 7/14/16 纳米节点,正向 5nm 进 阶,已实现 5nm 产品的工艺能力和认证。此外 SiP 方面公司也有布局,2018 年,SiP NB-IoT 制造的产品成功招标进入中国电信等运营商模组解决方案,公司 2.5D 封装以扇出晶圆级 封装及倒装芯片为主,国产化 CPU 已具备初段自主可控能力,通富微电 CPU/GPU 专用 封测能力行业领先,有望优先受益。


3. 华天科技

华天科技以传统封装为基,CIS 封装为突破口,进击先进封装。华天科技为国内第三 大,全球第六大的封测厂,公司技术包括 DIP、SOT、QFP、QFN、BGA/LGA、FC、SiP、 Fan-Out 等低到高端系列。公司目前由传统封装贡献大半营收,同时积极向先进封装拓展。 产线布局来看,旗下华天西安厂区以 SiP 封装为主轴,华天昆山及华天南京聚焦其他先进 封装,包括 2.5D/3D 封装、晶圆级封装等。产能来看,华天科技 2021 年晶圆级电路封装 产量 143.51 万片,发展空间仍大。公司于 2021 年 1 月非公开发行募集资金,计划投入 50 亿元进行产能扩充及研发测试,大部分的资金将布局先进封装,其中 11.5 亿元用于高 密度 SiP 产能扩产,达产后年产量 15 亿只,另有 9.8 亿元加码 TSV/FC 技术产业化,规 划年产能为晶圆级封测产品 33.60 万片、FC 产品 4.8 亿只。公司以 2.5D TSV 技术封装的 CIS 为突破口进军先进封装,以定增募投项目加速产能提升,未来有望持续完善技术及产 品布局。

4. 晶方科技

晶方科技聚焦传感器封装,营收近九成是晶圆级,差异化竞争策略下净利率领先行业。 晶方科技主营业务为传感器领域的封装测试业务,相较前三家产商定位具有差异化,产品 主要包括影像传感器芯片、生物身份识别芯片、微机电系统芯片(MEMS)、环境光感应 芯片、射频芯片等。公司先进封装技术围绕 TSV 技术开展实现 WLCSP 封装,相关技术 包括适用于指纹的 ETIM (Edge Trench Interconnect Module) ,用于用动传感的 HCSP 密封芯片尺寸封装技术,公司专注于传感器领域持续提升竞争力,在细分领域市 场地位突出。2013 年建成全球首条 12 寸晶圆级封装产线,公司 2020 年募资投入 12 寸 TSV 及异质集成智能传感器模块项目,持续扩产高端封测产能。公司晶圆级产品营收占比 88%,领先行业,同时差异化竞争之下,公司 2019-2021 年毛利率分别为 39.0%、49.2%、 52.3%,远超行业不足 20%的水平。我们预计随高端封测新产能逐步释放,公司营收及盈 利水平有望再升。

5. 环旭电子

环旭电子为 SiP 微小化业务与传统 ODM/EMS 并进的电子零部件龙头,积累 SiP 从 封测到系统端的组装整体解决方案能力。我们测算其 2021 年 SiP 业务营收占比超 60%。 公司是全球最大半导体封测公司日月光半导体的控股孙公司,目前已成长为全球电子设计 制造领导厂商,在 SiP 模块领域居行业领先地位,公司早在 2013 年就开始致力于可穿戴 产品相关 SiP 模组的微小化、高度集成化开发,近年来借助日月光的制程能力积累 SiP 从 设计到制造的组装整体解决方案的经验。公司深度绑定 A 客户,已导入其智能手表、智能 手机、TWS 耳机、AirTags 等产品,短期看 A 客户智能手机出货量成长性以及 5G 毫米波 机型出货量占比提升,以及智能手表/TWS 耳机下游持续放量高速增长;同时,公司积极 拓展非 A 端客户,夯实中长期发展根基,目前 SiP 模组产品已应用于安卓端智能手机、手 环、手表等产品,出货量持续成长。公司未来有望主导非 A 端 SiP 设计及制程,赋予公司 更高的产业链地位与盈利水平。

长电科技国内领先,有望以龙头之姿引领国内先进封装行业。国内四大封测厂目前的 先进技术涵盖 FC、SiP、晶圆级封装、2.5D/3D,其中晶圆级封装、2.5D/3D 的技术与国 际一线厂商相比仍然不足,长电科技为国内先进技术涵盖范围最广的厂商,同时也具国际 一线实力;通富微电主打 CPU/GPU 的先进封装;华天科技晶圆级产品以晶圆级 CIS 为主 并涵括射频 SiP;晶方科技以晶圆级 2.5D/3D 传感器为发展主轴。整体而言,中国的先进 封装仍在快速发展期,长电科技领先,通富微电、华天科技及晶方科技次之。在国产替代 加速阶段,封测厂先进封装发展潜力巨大,行业龙头有望引领国内先进封装行业。

设备供应链:与晶圆制造有所重叠,设备有望部分实现国产替代

先进封装设备类似前道晶圆制造设备,供应商受益先进封测产业增长。随着先进封装 的发展,Bumping(凸块)、Flip(倒装) 、TSV 和 RDL(重布线)等新的连接形式所需要用到 的设备也越先进。以长球凸点为例,主要的工艺流程为预清洗、UBM、淀积、光刻、焊料 电镀、去胶、刻蚀、清洗、检测等,因此所需要的设备包括清洗机、PVD 设备、光刻机、 刻蚀机、电镀设备、清洗机等,材料需要包括光刻胶、显影剂、刻蚀液、清洗液等。先进 封装的设备材料与前端制造开始有所重叠,而不再只是传统封装所需要的减薄机、划片机、 贴片机、引线键合机、激光打标机,设备材料变得更为先进,刺激设备厂商应先进封装需 求而增长。


国产设备有望部分实现国产替代。国内先进封装市场的机器设备可以做到部分的国产 替代,设备包括刻蚀机、光刻机、PVD/CVD、涂胶显影设备、固晶焊线设备、清洗设备、 测试机等,国内厂商已经打进国内先进封装厂产线。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。未来智库 - 官方网站

展开阅读全文

页面更新:2024-04-17

标签:三星   英特尔   先进   倒装   大有可为   定律   半导体   深度   芯片   厂商   报告   产品   技术   科技   公司

1 2 3 4 5

上滑加载更多 ↓
推荐阅读:
友情链接:
更多:

本站资料均由网友自行发布提供,仅用于学习交流。如有版权问题,请与我联系,QQ:4156828  

© CopyRight 2008-2024 All Rights Reserved. Powered By bs178.com 闽ICP备11008920号-3
闽公网安备35020302034844号

Top